Verilog-AMS

Verilog-AMS

Verilog-AMS est un dérivé du langage de description matériel Verilog. Il comprend des extensions analogiques et des signaux mixtes (en anglais analog and mixed-signal, AMS) afin de définir le comportement des systèmes à signaux analogiques et mixtes.

La norme Verilog-AMS a été instaurée dans l'intention de permettre aux concepteurs de systèmes à signaux analogiques et mixtes et de circuits intégrés de pouvoir créer et d'utiliser des modules qui encapsulent les descriptions de comportement de haut niveau, aussi bien que des descriptions structurelles de systèmes et de composants

Verilog-AMS définit un langage de modélisation standardisé par l'industrie pour les circuits à signaux mixtes. Il fournit à la fois le temps-continu et les sémantiques de modélisation d'événements. Il est donc approprié pour les circuits analogiques, numériques et mixtes.

Il important de noter que le Verilog ne constitue pas un langage de programmation. Il s'agit d'un langage de description du matériel.

Sommaire

Exemple de code

Une résistance pourrait être décrite en Verilog-AMS comme suit:

// Ideal resistance
`include "disciplines.vams"
 
module resistor (p, n);
    parameter real r=0;    // resistance (Ohms)
    inout p, n;
    electrical p, n;
 
    analog
        V(p,n) <+ r * I(p,n);
endmodule


Un interrupteur idéal pourrait être décrit en Verilog-AMS comme suit:

// Simple switch
`include "disciplines.vams"
 
module sw(p, n, s);
    input s;
    inout p, n;
    logic s;
    electrical p, n;
 
    analog begin
        if (s)
            V(p, n) <+ 0.0;
        else
            I(p, n) <+ 0.0;
    end
endmodule

Notes et références

Voir aussi

Articles connexes

Liens externes


Wikimedia Foundation. 2010.

Contenu soumis à la licence CC-BY-SA. Source : Article Verilog-AMS de Wikipédia en français (auteurs)

Игры ⚽ Поможем написать курсовую

Regardez d'autres dictionnaires:

  • Verilog-AMS — is a derivative of the hardware description language (HDL) Verilog (IEEE 1364 1995 Verilog HDL). It includes analog and mixed signal extensions (AMS) in order to define the behavior of analog and mixed signal systems.The Verilog AMS standard was… …   Wikipedia

  • Verilog-AMS — Стиль этой статьи неэнциклопедичен или нарушает нормы русского языка. Статью следует исправить согласно стилистическим правилам Википедии. Verilog AMS или Verilog Analog Mixed Signal Simulation (Verilog Аналогово Смешанное Моделирование… …   Википедия

  • Verilog-A — is an industry standard modeling language for analog circuits. It is the continuous time subset of Verilog AMS.Verilog A was created out of a need to standardize the Spectre behavioral language in face of competition from VHDL (an IEEE standard) …   Wikipedia

  • Verilog — Класс языка: Язык описания аппаратуры Появился в: 1983 1984 Автор(ы): Phil Moorby, Prabhu Goel Расширение файлов: .v Verilog, Verilog HDL (англ. Verilog Hardwar …   Википедия

  • Verilog — es un lenguaje de descripción de hardware (HDL, del Inglés Hardware Description Language) usado para modelar sistemas electrónicos. El lenguaje, algunas veces llamado Verilog HDL, soporta el diseño, prueba e implementación de circuitos analógicos …   Wikipedia Español

  • Verilog — HDL ist neben VHDL die weltweit meistgenutzte Hardwarebeschreibungssprache. Inhaltsverzeichnis 1 Geschichte 2 Funktionsweise 3 Literatur 4 Siehe auch …   Deutsch Wikipedia

  • Verilog — In the semiconductor and electronic design industry, Verilog is a hardware description language (HDL) used to model electronic systems. Verilog HDL , not to be confused with VHDL, is most commonly used in the design, verification, and… …   Wikipedia

  • Verilog — Le Verilog HDL est un langage de description matériel de circuits logiques en électronique (le sigle anglais HDL Hardware Description Language signifie Langage de Description du Matériel), utilisé pour la conception d ASICs (application specific… …   Wikipédia en Français

  • VHDL-AMS — est un dérivé du langage de description matériel VHDL (norme IEEE 1076 1993). Il comprend des extensions analogiques et des signaux mixtes (en anglais analog and mixed signal, AMS) afin de définir le comportement des systèmes à signaux… …   Wikipédia en Français

  • VHDL-AMS — Very High Speed Integrated Circuit Hardware Description Language (auch VHSIC Hardware Description Language), kurz VHDL, ist eine Hardwarebeschreibungssprache, vergleichbar mit einer Programmiersprache, mit der es einfach möglich ist, komplizierte …   Deutsch Wikipedia

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”