VHDL-AMS

VHDL-AMS

VHDL-AMS est un dérivé du langage de description matériel VHDL (norme IEEE 1076-1993). Il comprend des extensions analogiques et des signaux mixtes (en anglais analog and mixed-signal, AMS) afin de définir le comportement des systèmes à signaux analogiques et mixtes (IEEE 1076.1-1999).

La norme VHDL-AMS a été instaurée dans l'intention de permettre aux concepteurs de systèmes à signaux analogiques et mixtes et de circuits intégrés de pouvoir créer et d'utiliser des modules qui encapsulent les descriptions de comportement de haut niveau, aussi bien que des descriptions structurelles de systèmes et de composants[1].

VHDL-AMS définit un langage de modélisation standardisé par l'industrie pour les circuits à signaux mixtes. Il fournit à la fois le temps-continu et les sémantiques de modélisation d'événements. Il est donc approprié pour les circuits analogiques, numériques et mixtes. Il est particulièrement bien adapté pour la vérification de circuits intégrés complexes qui allient des signaux analogiques, mixtes et des fréquences radios.

Il important de noter que le VHDL-AMS ne constitue pas un langage de conception ou synthèse. Il s'agit seulement d'un langage de description du matériel.

Sommaire

Exemple de code

En VHDL-AMS, une description de circuit comporte au minimum une entité qui définit une interface et une architecture qui en décrit le fonctionnement. De plus, la plupart des descriptions font appel à des bibliothèques externes. Certaines descriptions peuvent comporter également plusieurs architectures et configurations.

Une diode idéale simple en VHDL-AMS pourrait être décrite comme suit :

-- (Il s'agit d'un commentaire)
 
-- Importer electrical_system de la librairie disciplines 
library IEEE, disciplines;
use IEEE.math_real.all;
use disciplines.electrical_system.all;
 
-- Il s'agit d'une entité
entity DIODE is
   generic (iss : REAL := 1.0e-14;  -- Courant de saturation
            af : REAL := 1.0;       -- Coefficient du bruit de Flicker 
            kf : REAL := 0.0);      -- Exposant du bruit de Flicker
   port (terminal anode, cathode : electrical);      
end entity DIODE;
 
architecture IDEAL of DIODE is
  quantity v across i through anode to cathode;
  constant vt : REAL := 0.0258;     -- Voltage thermique à 300K
begin
 
  i == iss * (exp(v/vt) - 1.0);
 
end architecture IDEAL;

Notes et références

  1. Christen E., Bakalar K.,"VHDL-AMS-a hardware description language for analog and mixed-signal applications",Circuits and Systems II: Analog and Digital Signal Processing, IEEE Transactions on [see also Circuits and Systems II: Express Briefs, IEEE Transactions on] Volume 46, Issue 10, Oct. 1999, pp. 1263 - 1272.

Voir aussi

Articles connexes

Liens externes


Wikimedia Foundation. 2010.

Contenu soumis à la licence CC-BY-SA. Source : Article VHDL-AMS de Wikipédia en français (auteurs)

Игры ⚽ Нужно решить контрольную?

Regardez d'autres dictionnaires:

  • VHDL-AMS — is a derivative of the hardware description language VHDL (IEEE standard 1076 1993). It includes analog and mixed signal extensions (AMS) in order to define the behavior of analog and mixed signal systems (IEEE 1076.1 1999).The VHDL AMS standard… …   Wikipedia

  • VHDL-AMS — Very High Speed Integrated Circuit Hardware Description Language (auch VHSIC Hardware Description Language), kurz VHDL, ist eine Hardwarebeschreibungssprache, vergleichbar mit einer Programmiersprache, mit der es einfach möglich ist, komplizierte …   Deutsch Wikipedia

  • VHDL — est un langage de description matériel destiné à représenter le comportement ainsi que l architecture d’un système électronique numérique. Son nom complet est VHSIC[1] Hardware Description Language. L intérêt d une telle description réside dans… …   Wikipédia en Français

  • VHDL — Появился в: 1983 Типизация данных: строгая Испытал влияние: Ada, Pascal VHDL (англ. VHSIC (Very high speed integrated circuits) Hardware Description Language) язык описания аппар …   Википедия

  • AMS — or Ams may refer to: * Aa! Megami Sama! (another name for Oh My Goddess! ) * Access Method Services * AMS, group of characters in The House of the Dead * AMS (Abrahams Magazine Service) Press, a publisher of scholarly monographs… …   Wikipedia

  • VHDL — infobox programming language name = VHDL paradigm = behavioural year = 1980s designer = developer = latest release version = latest release date = latest test version = latest test date = typing = strong implementations = dialects = influenced by …   Wikipedia

  • Verilog-AMS — est un dérivé du langage de description matériel Verilog. Il comprend des extensions analogiques et des signaux mixtes (en anglais analog and mixed signal, AMS) afin de définir le comportement des systèmes à signaux analogiques et mixtes. La… …   Wikipédia en Français

  • Verilog-AMS — is a derivative of the hardware description language (HDL) Verilog (IEEE 1364 1995 Verilog HDL). It includes analog and mixed signal extensions (AMS) in order to define the behavior of analog and mixed signal systems.The Verilog AMS standard was… …   Wikipedia

  • Verilog-AMS — Стиль этой статьи неэнциклопедичен или нарушает нормы русского языка. Статью следует исправить согласно стилистическим правилам Википедии. Verilog AMS или Verilog Analog Mixed Signal Simulation (Verilog Аналогово Смешанное Моделирование… …   Википедия

  • VHSIC Hardware Description Language — VHDL VHDL est un langage de description matériel destiné à représenter le comportement ainsi que l architecture d’un système électronique numérique. Son nom complet est VHSIC[1] Hardware Description Language. L intérêt d une telle description… …   Wikipédia en Français

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”